+92 332 4229 857 99ProjectIdeas@Gmail.com

Verilog language Rules


Verilog is a case sensitive language. So a variable named “Z” and “z” are treated as two different variables. The keywords are always in lower case letters. For example, it is invalid to write “Module” instead of “module”. The other language rules are described below:

0 comments: